當前位置:萬佳範文網 >

心得體會 >學習心得體會 >

eda學習心得總和(通用3篇)

eda學習心得總和(通用3篇)

eda學習心得總和 篇1

當看到這門課的時候,我最初的感覺是很無語,書本上一大堆看不懂的東西,沒有接觸過的VHDL語言和一些電路圖和實體,聽起來也是一塌糊塗,對EDA技術很陌生,也感到很茫然,也沒有信心,當接觸到可編程器件的時候,看到大家同樣感到很迷惘。

eda學習心得總和(通用3篇)

隨後在深入的學習中發現書本資料通過大量的圖示對PLD硬件特性與編程技術進行了形象的講解,不僅融合了之前學習的關於電路設計的知識還將EDA的技術加入其中。對VHDL語言的詳盡講解更是讓我深刻理解了VHDL語言的編程原理。由於本門課程是一門硬件學習課程,所以實驗必不可少。通過課程最後實驗,我體會一些VHDL語言相對於其他編程語言的特點。在接觸VHDL語言之前,我已經學習了C語言,彙編語言,而相對於這些語言的學習,,VHDL具有明顯的特點。這不僅僅是由於VHDL 作為一種硬件描述語言的學習需要了解較多的數字邏輯方面的硬件電路知識,括目標芯片基本結構方面的知識更重要的是由於VHDL描述的對象始終是客觀的電路系統。由於電路系統內部的子系統乃至部分元器件的工作狀態和工作方式可以是相互獨立、互不相關的,也可以是互為因果的。這表明,在任一時刻,電路 系 統 可 以 有 許 多 相關和不相關的事件同時並行發生。例如可以在多個獨立的模塊中同時入行不同方式的數據交換和控制信號傳輸,這種並行工作方式是任何一種基於CPU的軟件程序語言所無法描繪和實現的。傳統的軟件編程語言只能根據CPU 的工作方式,以排隊式指令的形式來對特定的事件和信息控制或接收。在CPU工作的任一時間段內只能完成一種操作。因此,任何複雜的程序在一個單CPU的計算機中的運行,永遠是單向和一維的。因而程序設計者也幾乎只以一維的思維模式就可以編程和工作了。在試驗箱上,編寫相應的軟件即可,否則,只在計算機上模擬調試軟件,則無法瞭解單片機接口中各種控制信號的使用。還可幫助學會分析問題和解決問題的能力。這在單片機實驗報告中都要體現出來。

這門課是一門理論性和實踐性都很強的專業基礎課,也是一門綜合性的技術基礎學科,它需要程序語言、數學、物理學、電子學、力學、機械等知識,同時還要掌握各種物理量的變換測定,以及實驗裝置的設計和數據分析等方面所涉及的基礎理論。許多測試理論和方法只有通過實際驗證才能加深理解並真正掌握。實驗就是使學生加深理解所學基礎知識,掌握各類典型傳感、記錄儀器的基本原理和適用範圍;具有測試系統的選擇及應用能力;具有實驗數據處理和誤差分析能力;得到基本實驗技能的訓練與分析能力的訓練,使學生初步掌握

測試技術的基本方法,具有初步獨立進行電子信息工程測試的能力,對各門知識得到融會貫通的認識和掌握,加深對理論知識的理解。實驗課是本門課程的重要環節,其目的是培養學生的分析和解決實際問題的能力,從而掌握機械工程測試技術手段,為將來從事技術工作和科學研究奠定紮實的基礎。

通過本門課程實驗,以下能力得到了較大的提高:

瞭解了可編程邏輯器件操作的原理和應用,以及試驗箱及軟件編譯環境的使用的注意事項及各種測試中不同問題的處理方法。

在學習的過程中,我深深體會到,學習不單單要將理論知識學紮實了,更重要的是實際動手操作能力,學完了課本知識,我並沒有覺得自己有多大的提高,但是在隨後的實驗過程中我真的感覺學會了很多,學到了很多知識,在實踐中更加理解了書本上的理論知識的經典所在以及這門學科的意義和用處!真心希望以後的課程都能將理論與實踐充分的結合起來,在實踐的過程中串聯書本的知識,讓理論化為實踐的力量!

eda學習心得總和 篇2

在本次一週的實訓中我完全投入到了Protel99SE軟件之中,我感覺學到了很多收穫也很多對次軟件的應用更加熟練也更加的喜歡。之前上課時都不是很重視心想就是個軟件很好用的,但經過這次實訓所有的東西並不是想的那麼簡單。Protel99se這套軟件是我們的支柱,是我門專業的重點,它對我們以後更好的學習有很大的意義。在這次實訓中我看到了自己許多的不足,在繪製pcb的工程中出現了許多的錯,ERC錯誤較多

1、管腳沒有接入信號。原因:創建元件時pin方向反向,必須非pinname端連線.。

2、在繪製原理圖得時候元器件調用出現錯誤。

3、網絡載入時報告NODE沒有找到。

原因:

1、PCB原理圖中的元件使用了pcb庫中沒有的封裝。

3、原理圖中的元件使用了pcb庫中名稱不一致的封裝

4、原理圖中的元件使用了pcb庫中pinnumber不一致的封裝.如二極管:sch中pinnumber為1、2,而pcb中為A、K。

那都是我盲目的話不瞭解原理圖中一些元器件的功能而導致的,因此在以後的學習中我不要只侷限在會用而要知道問什麼是這樣,要更深更充分的學習。我在Protel99se軟件的學習中,感覺學到了很多收穫也很多特別是在實際操作方面有了很大進步。即使如此,依然存在很多問題,我也上網查閲了很多資料,結合自己的疑問和老師的指導建議。自己在實訓過程中有時做做作業心就煩了不想畫了,不能踏踏實實的做題,在自己的堅持下還是把題做完。我在實訓中深刻的體會到做任何一件事都需要認真,有耐心,有毅力,要努力地剋制自己。實訓雖然結束了,但我們的學習並未結束,我們應該好好珍惜在學校的每一天,多學習,把自身的弱勢和不足變成優勢和特長,為自己擁有一個美好的未來而加倍努力。

eda學習 心得5

EDA課程設計心得體會,這次EDA課程設計歷時兩個星期,通過這次設計,通過這次課程設計使我懂得了理論與實際相結合是很重要的,在設計的過程中遇到問題,同時在設計的過程中發現了自己的不足之處,這次設計的數字秒錶還是比較成功的,在設計中遇到了很多問題,PLC實訓心得,在學完PLC理論課程後我們做了課程設計,此次設計以分組的方式進行,沒有過實際開發設計的經驗,我們基本學會了PLC設計的步聚和基本方法。

這次EDA課程設計歷時兩個星期,在整整兩個星期的日子裏,可以説是苦多於甜,但是可以學的到很多很多的東西,同時不僅可以鞏固以前所學過的知識,而且學到了很多在書本上所沒有學到過的知識。通過這次設計,進一步加深了對EDA的瞭解,讓我對它有了更加濃厚的興趣。特別是當每一個子模塊編寫調試成功時,心裏特別的開心。但是在編寫頂層文件的程序時,遇到了不少問題,特別是各元件之間的連接,以及信號的定義,總是有錯誤,在細心的檢查下,終於找出了錯誤和警告,排除困難後,程序編譯就通過了,心裏終於舒了一口氣。在波形仿真時,也遇到了一點困難,想要的結果不能在波形上得到正確的顯示:在設定輸入的時鐘信號後,數字秒錶開始計數,但是始終看不到秒和小時的循環計數。後來,在數十次的調試之後,才發現是因為輸入的時鐘信號對於器件的延遲時間來説太短了。經過屢次調試,終於找到了比較合適的輸入數值:時鐘週期設置在15秒左右比較合適。另外,Endtime的值需要設置的長一點:500us左右,這樣就可以觀察到完整的仿真結果。

其次,在連接各個模塊的時候一定要注意各個輸入、輸出引腳的線寬,因為每個線寬是不一樣的,只要讓各個線寬互相匹配,才能得出正確的結果,否則,出現任何一點小的誤差就會導致整個文件系統的編譯出現錯誤提示,在器件的選擇上也有一定的技巧,只有選擇了合適當前電路所適合的器件,編譯才能得到完滿成功。

通過這次課程設計使我懂得了理論與實際相結合是很重要的,只有理論知識是遠遠不夠的,只有把所學的理論知識與實踐相結合起來,從理論中得出結論,才能真正為社會服務,從而提高自己的實際動手能力和獨立思考的能力。在設計的過程中遇到問題,可以説得是困難重重,這畢竟第一次做的,難免會遇到過各種各樣的問題,同時在設計的過程中發現了自己的不足之處,對以前所學過的知識理解得不夠深刻,掌握得不夠牢固。

在設計過程中,總是遇到這樣或那樣的問題。有時發現一個問題的時候,需要做大量的工作,花大量的時間才能解決。自然而然,我的耐心便在其中建立起來了。為以後的工作積累了經驗,增強了信心。

eda學習心得總和 篇3

摘要:本學期我對EDA技術進行了學習,通過學習,我掌握了部分EDA技術的知識。 本學期對 EDA 技術的學習為我的專業知識學習打開了一個全新的窗口——微電子技術領域。對EDA 技術,我更是有了全新的認識。 微電子技術的進步主要表現在大規模集成電路加工技術即半導體工藝技術的發展上,使得表徵半導體工藝水平的線寬已經達到了納米級。所以,集成電路 設計正在不斷地向超大規模、極低功耗和超高速的方向發展。而現代電子設計技術的核心已日趨轉向基於計算機的電子設計自動化技術, 即EDA 技術。

EDA技術的特點和優勢

技術就是依賴功能強大的計算機,在EDA 工具軟件平台上,對 以硬件描述語言 HDL 為系統邏輯描述手段完成的設計文件,自動地完成邏輯編譯、化簡、分割、綜合、佈局佈線以及邏輯優化和仿真測試,直至實現既定的電 子線路系統功能。EDA 技術使得設計者的工作僅限於利用軟件的方式,即利用硬件描述語言和EDA 軟件來完成對系統硬件功能的實現,這是電子設計技術的一個 巨大進步。 EDA 技術在進入21世紀後,得到了更大的發展。嵌入式處理器軟核的成熟, 使得SOPC 步入大規模應用階段。電子技術領域全方位融入EDA 技術,除了日益成熟的數字技術外,傳統的電路系統設計建模理念發生了重大的變化。同時,EDA 使得電子領域各學科的界限更加模糊,更加互為包容。這些都利於設計人員利用 EDA技術進行電子系統設計,如全定製或半定製ASIC 設計,FPGA/CPLD 開發應用 和印製電路板。 從 EDA 技術的特點不難看出,相比於傳統的數字電子系統或IC 設計,EDA 技術擁有獨特的優勢。在傳統的數字電子系統或 IC 設計中,手工設計佔了較大的比例。因此,也存在很多缺點。例如:複雜電路的設計、調試十分困難;由於 無法進行硬件系統仿真,如果某一過程存在錯誤,查找和修改十分不便;設計過程中產生大量文檔,不易管理;可移植性差等。相比之下,EDA 技術有很大不同。 它運用HDL 對數字系統進行抽象的行為與功能描述到具體的內部線路結構描述,從而可以在電子設計的各個階段、各個層次進行計算機模擬驗證,保證設計過程 的正確性,可以大大降低設計成本,縮短設計週期。由於有各類庫的支持,能夠完成各種自動設計過程。它極大地簡化了設計文檔的管理,邏輯設計仿真測試技 術也日益強大。 VHDL 在現在的EDA 設計中使用最多,也擁有幾乎所有主流EDA工具的支持。

EDA工具

EDA工具在EDA技術應用中佔據極其重要的位置,EDA的核心是利用計算機完成電子設計全過程自動化,因此,基於計算機環境的EDA軟件的支持是必不可少的。EDA工具大致可以分為如下5個模塊:設計輸入編輯器;仿真器;HDL綜合器;適配器(或佈局佈線器);下載器。VHDL語言基礎

VHDL 作為一個規範語言和建模語言,不僅可以作為系統模擬的建模工具,而且 可以作為電路系統的設計工具,可以利用軟件工具將 VHDL源碼自動地轉化為文 本方式表達的基本邏輯元件連接圖,即網表文件。這種方法顯然對於電路自動設計是一個極大的推進。它具有很強的電路描述和建模能力,能從多個層次對數字 系統進行建模和描述,從而大大簡化了硬件設計任務,提高了設計效率和可靠性。 EDA技術良好的可移植性與可測試性,將所有設計環節納入統一的自頂向下的設 計方案中。它不但在整個設計流程上充分利用計算機的自動設計能力、在各個設計層次上利用計算機完成不同內容的仿真模擬,而且在系統板設計結束後仍可利 用計算機對硬件系統進行完整的測試。 書中通過大量的圖示對PLD硬件特性與編程技術進行了形象的講解,不僅融 合了之前學習的關於電路設計的知識還將EDA 的技術加入其中。對VHDL 語言的 詳盡講解更是讓我深刻理解了 VHDL語言的編程原理。

VHDL可以把任意複雜的電路系統作為一個模塊。一個模塊可以分為3個主要的組成部分:參數部分,接口部分,描述部分。參數部分主要由庫和程序包説明組成。每個VHDL模塊中僅有一個設計實體它類似於原理圖中一個不見符號。實體並不描述設計的具體功能,只用於定義該設計所需的全部輸入信號。結構體主要描述實體的內部結構,即描述一個實體的功能。VHDL結構體有多種描述方式:行為描述方式,數據流描述方式,結構描述方式,混合描述方式等。

EDA技術的綜合體會

由於本門課程是一門硬件 學習課程,所以實驗必不可少。通過課程最後實驗,我體會一些 VHDL 語言相對 於其他編程語音的特點。相對於其它計算機語言的學習,如C 或彙編語言,VHDL 具有明顯的特點。 這不僅僅是由於 VHDL 作為一種硬件描述語言的學習需要了解較多的數字邏輯方面的硬件電路知識,包括目標芯片基本結構方面的知識更重要的是由於 VHDL 描述的對象始終是客觀的電路系統。由於電路系統內部的子系統乃至部分元器件的工作狀態和工作方式可以是相互獨立、互不相關的,也可以是互為因果的。這 表明,在任一時刻,電路系統可以有許多相關和不相關的事件同時並行發生。例如可以在多個獨立的模塊中同時入行不同方式的數據交換和控制信號傳輸,這種 並行工作方式是任何一種基於 CPU 的軟件程序語言所無法描繪和實現的。傳統的軟件編程語言只能根據 CPU 的工作方式,以排隊式指令的形式來對特定的事 件和信息進行控制或接收。在 CPU 工作的任一時間段內只能完成一種操作。 VHDL雖然也含有類似於軟件編程語言的順序描述語句結構,但其工作方 式是完全不同的。軟件語言的語句是根據 CPU 的順序控制信號,按時鐘節拍對應的指令週期節拍逐條運行的,每運行一條指令都有確定的執行週期。但 VHDL 則不同,從表面上觀,VHDL 的順序語句與軟件語句有相同的行為描述方式,但在標準的仿真執行中有很大的區別。VHDL 的語言描述只是綜合器賴以構成硬件 結構的一種依據,但進程語句結構中的順序語句的執行方式決非是按時鐘節拍運行的。實際情況是其中的每一條語句的執行時間幾乎是 0 (但該語句的運行時 間卻不一定為0),即1000 條順序語

句與10 條順序語句的執行時間是相同的。 在此,語句的運行和執行具有不同的概念(在軟件語言中,它們的概念是相同),的執行是指啟動一條語句,允許它運行一次,而運行就是指該語句完成其設定的 功能。

通過學習,我認識到理論要與實際結合,培養動手動腦能力的重要性,做事 情要抱着一絲不苟的態度,這樣才能做好事情。同時也入一步瞭解到EDA 的強大之處,硬件電路的優秀的地方,對硬件方面更感興趣了。這門課程的學習,為我以後的專業知識的學習打下了良好的基礎。

  • 文章版權屬於文章作者所有,轉載請註明 https://wjfww.com/xinde/xuexi/8z3j4n.html
專題